Thursday, March 10, 2011

Difference between Initial block and Final block in SV

Final block is a new concept which was introduced in System Verilog.

The basic difference between these two are evident from the nomenclature, i.e, Initial block starts getting executed during simulation time t=0 while the Final block gets executed when the simulation is completed.

Before getting into details, there is one similarity between these two sequential block of codes, both of them gets executed only once during the simulation

Now getting back to the difference between Initial and Final blocks, Initial blocks can contain some # delays or wait statements or some wait for events, but the Final block should not contains any such things.

Final block should get executed with 0 simulation time. Ideally this is used for test case status reporting or some display statements that have to be printed after the test case execution is completed

13 comments:

IC Design said...

Very nice post. I really enjoy the reading. I come here from the google while searching for some good article.Thanks

Analog IC Design said...

Pretty good post. I just stumbled upon your blog and wanted to say that I have really enjoyed reading your blog posts.

Vishal sharma said...

I like the way you write about ASIC Design and Verification training in Noida. Awesome, keep it up.

Unknown said...

readability and explanation is good

roopa said...

nice explination

Unknown said...

good explination.thank you.

NareshIT said...

Good Information..thanks for sharing... Best software Training institute in Bangalore

supreet said...

I just see the post i am so happy the post of information's.So I have really enjoyed and reading your blogs for these posts.Any way I’ll be subscribing to your feed and I hope you post again soon.

best selenium training institute in hyderabad

Anonymous said...

explanation is good. i really enjoyed this

Unknown said...

In the first statement you said that final block will be executed at the end of simulation...
but in the last statement you are saying it should be executed at 0 simulation time!how come??

KITS Technologies said...

I value the blog post.Really looking forward to read more. Really Cool.
Hyperion Fdqm training
Hyperion Financial Management online training
Hyperion Financial Management training
Hyperion online training
Hyperion training
Hyperion planning online training
Hyperion planning training
Hyperion Smart View online training
Hyperion Smart View training
install sheild online training

Admin said...

Here is the site(bcomexamresult.in) where you get all Bcom Exam Results. This site helps to clear your all query.
SU BCOM 2nd Year Result 2020
BA 3rd year Result
BA Result 2020

Neelesh said...

Thanks for sharing this informative blog.
Indulge in a charming Ooty Bungalow Stay! Nestled amidst nature, enjoy comfort, stunning views, and a rejuvenating retreat. Your perfect getaway awaits.